Welcome![Sign In][Sign Up]
Location:
Search - keyboard vhdl

Search list

[VHDL-FPGA-VerilogKEYBOARD_DEC-vhdl

Description: maxplus2为开发环境 vhdl编写的 键盘 程序-maxplus2 VHDL development environment for the preparation of the keyboard procedures
Platform: | Size: 1024 | Author: 丁智罡 | Hits:

[Communicationps2_keyboard

Description: 用 vhdl 编写的ps2 keyboard 的接口程序-prepared using VHDL ps2 keyboard interface procedures
Platform: | Size: 7168 | Author: 王晓杰 | Hits:

[VHDL-FPGA-VerilogVHDL 程序举例

Description: VHDL经典编成程序。有大概100个程序。包括键盘扫描等。- these are typical program of VHDL.there are almost 100 pieces of program.including program about keyboard scanning.
Platform: | Size: 68608 | Author: 林玉儿 | Hits:

[VHDL-FPGA-VerilogVHDl-several-procedure

Description: alter 公司芯片的几个简单应用,主要是红外遥控,键盘扫描等几个程序-chips alter a few simple applications, primarily infrared remote control, keyboard scanning procedures, etc.
Platform: | Size: 82944 | Author: javenliu | Hits:

[Parallel Portvhdl-com

Description: 一些vhdl的常用程序,包括键盘扫描-instantiate some common procedures, including scanning keyboard, etc
Platform: | Size: 2048 | Author: 阿飞 | Hits:

[VHDL-FPGA-VerilogKEY12

Description: 13键键盘的VHDL顶层文件,我是初学着,希望对初学者有用-13 key keyboard VHDL top-level document, I was a novice with the hope that useful for beginners
Platform: | Size: 1024 | Author: 相耀 | Hits:

[VHDL-FPGA-Verilogps2_soc2

Description: PS2的源代码VHDL语言实现,可以和计算机直接连接.做鼠标键盘接口.-PS2 source VHDL, and can be connected directly to the computer. So the mouse, keyboard interface.
Platform: | Size: 21504 | Author: 喻袁洲 | Hits:

[VHDL-FPGA-Verilogkeyboard4_4

Description: 该代码是4乘4标准键盘扫描程序的源代码,用VHDL编写的,我在调试的时候忘记设置复位键了,大家也要注意了-The code is 4 x 4 standard keyboard scan a program's source code, prepared by the use of VHDL, I remember when debugging set the reset button, we have to pay attention to the
Platform: | Size: 1024 | Author: yuxyoo | Hits:

[Software EngineeringVHDLKEYBOARD

Description: 此模块用 VHDL 硬件描述语言来实现,对键盘设计的实际操作检验表明,此模块响应迅速、识别准确,较好地实现了键盘扫描和去抖动功能, 达到了预期的设计目的。同时,将状态机、扫描线、计数器等相关参数稍作改动,就可以扩展到实现不同键盘矩阵的设计-VHDL hardware description language to achieve the keyboard design of the actual operation of testing show that This module in response to the rapid, accurate identification, a better way to realize the keyboard scan and jitter to function, to achieve the desired purpose. Meanwhile, the state machine, scanning lines, counter and other related parameters minor modifications, it can be extended to the realization of the different design of the keyboard matrix
Platform: | Size: 62464 | Author: 章菁 | Hits:

[VHDL-FPGA-Verilogkeyborad

Description: 一个8X8的矩阵键盘的VHDL文件,并且有长安键和短按键之分,即一共能做到128个键值,扫描用的时钟用1ms的就行了-A 8x8 matrix keyboard VHDL files and have Changan and short keys of key points, namely, to achieve a total of 128 keys, scanning with the clock used on the list of 1ms
Platform: | Size: 1024 | Author: 张风 | Hits:

[VHDL-FPGA-VerilogkeyBoard

Description: vhdl编写的4X4键盘扫描程序,可以有效的消除抖动,并且提供蜂鸣器输出。-VHDL prepared 4X4 keyboard scanner, you can effectively eliminate jitter and provide buzzer output.
Platform: | Size: 2048 | Author: 王贤 | Hits:

[VHDL-FPGA-Verilogkeyboard

Description: 矩阵键盘的vhdl编程,非常的实用,带有去抖动 -Matrix keyboard VHDL programming, very practical, with a to-jitter
Platform: | Size: 297984 | Author: zjc | Hits:

[VHDL-FPGA-VerilogkeyboardScan

Description: PS2接口键盘扫描码截取电路,VHDL程序。该程序能够捕获PS2键盘按下的按键值,并将其扫描码转换成ASCII码。-PS2 keyboard scan code interception interface circuit, VHDL procedures. The program can capture PS2 keyboard press the keys values and scan codes into ASCII code.
Platform: | Size: 1024 | Author: 宁新 | Hits:

[VHDL-FPGA-VerilogKEYBOARD

Description: 程序用vhdl语言编写,成功添加为CPU外设,可以正常使用
Platform: | Size: 1024 | Author: 罗生 | Hits:

[VHDL-FPGA-VerilogkeyBoard

Description: 组成原理实验作业,用VHDL实现的简单键盘程序-The composition of operating principle experiment, using VHDL realize simple keyboard program
Platform: | Size: 275456 | Author: 刘海 | Hits:

[VHDL-FPGA-Verilogkey

Description: 自己用VHDL写的键盘程序,设计了防抖动环节-Use VHDL to write their own keyboard program designed防抖动links
Platform: | Size: 308224 | Author: xu wen qiang | Hits:

[VHDL-FPGA-Verilogps2_caculator_vhdl

Description: 基于spartan3火龙刀系列FPGA开发板制作的计算器,利PS2键盘做输入控制,有很好的借鉴价值-Fire Dragon spartan3 knife series based FPGA development board produced calculators, Lee PS2 keyboard input control to do, have a good reference value
Platform: | Size: 3072 | Author: 朱东亮 | Hits:

[VHDL-FPGA-Verilogok1

Description: 用vhdl语言编写的ps2键盘识别程序,并可输出到8*8矩阵显示-Using VHDL language ps2 keyboard identification procedures, and output to 8* 8 matrix display
Platform: | Size: 1024 | Author: sclzcq | Hits:

[Embeded-SCM Developkeyboard

Description: 键盘程序:基于cpld开发环境的4*4键盘程序,很有用哦-Keyboard procedure: CPLD-based development environment 4* 4 keyboard procedures, useful Oh
Platform: | Size: 1024 | Author: xixi | Hits:

[VHDL-FPGA-VerilogKEYBOARD

Description: 键盘扫描程序,该程序可以对4*4的键盘进行扫描-Keyboard scanning process, which can be 4* 4 keyboard scan
Platform: | Size: 1024 | Author: 舒文杰 | Hits:
« 12 3 4 5 6 7 8 9 10 ... 20 »

CodeBus www.codebus.net